博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
【Verilog_13】: RTL ←→Verilog
阅读量:3942 次
发布时间:2019-05-24

本文共 344 字,大约阅读时间需要 1 分钟。

RTL ←→Verilog

module rtlxx	(			//分频器,周期为div_param	input clk,	input reset_n,	input a,	input b,	input c,	output reg y,	inout reg x);reg t,k;		always @(posedge clk or negedge reset_n)		if(!reset_n)			y <= 0;		else  begin			t <= a ~^ k;			k <= ~(k & b);			y <= x | (t ^ k); 					end				always @*			if(c) x = k;			else x = 1'bz;			endmodule

对应的rtl图为

在这里插入图片描述

转载地址:http://vyiwi.baihongyu.com/

你可能感兴趣的文章
在Eclipse中调试Jetty应用的配置(XML配置文件方式)
查看>>
Ext-3.1.0下组件中按钮居中问题的记要
查看>>
MacOS下使用screen命令运行后台程序
查看>>
东北人的幽默,《红男绿女》中经典对白,看过的人都明白
查看>>
印象后海
查看>>
看了这54句,你就看懂了人性
查看>>
PowerDesigner数据模型设计拾遗
查看>>
从Spring MVC扩展中学习OO设计(一)
查看>>
八招赚钱方法
查看>>
70个面试技巧,很实用哦
查看>>
Communication - The cardigans
查看>>
晒书名:已收藏O'Reilly出版社‘动物世界’系列图书(一)
查看>>
晒书名:已收藏O'Reilly出版社‘动物世界’系列图书(二)
查看>>
从银行WebService报文接口系统中,学习敏捷设计
查看>>
区分IE和Firefox浏览器的CSS样式写法
查看>>
2009语录
查看>>
歌剧威尔第《弄臣》女人善变无常 唱词 Verdi: La donna è mobile
查看>>
数据仓库学习网站及图书
查看>>
工资就像大姨妈
查看>>
Superheroes - Edguy 歌词
查看>>